Array
(
    [content] => 
    [params] => Array
        (
            [0] => /forum/threads/intel-splashes-more-cash-on-asml%E2%80%99s-magic-machines.23692/page-3
        )

    [addOns] => Array
        (
            [DL6/MLTP] => 13
            [Hampel/TimeZoneDebug] => 1000070
            [SV/ChangePostDate] => 2010200
            [SemiWiki/Newsletter] => 1000010
            [SemiWiki/WPMenu] => 1000010
            [SemiWiki/XPressExtend] => 1000010
            [ThemeHouse/XLink] => 1000970
            [ThemeHouse/XPress] => 1010570
            [XF] => 2030770
            [XFI] => 1060170
        )

    [wordpress] => /var/www/html
)

Intel splashes more cash on ASML’s magic machines

N7 was all-DUV, N7P had some EUV layers but incompatible design rules so no IP porting. IIRC (we didn't use it) N6 was a shrunk N7 (with compatible layout) so still all-DUV. N5 was the first "proper" EUV process, and then N4 was a shrunk N5.

From my notes:

TSMC N7 is DUV, TSMC N7+ uses 4 EUV layers, TSMC N6 uses 5 EUV layers, TSMC N5 uses 14 EUV layers.

AMD and HiSilicon are the only two customers that I know of that used N7+. It was not a mobile friendly process so Apple skipped it. QCOM used TSMC N7 then moved to Samsung for 5nm. Nvidia went from Samsung 8nm to TSMC N7 to TSMC N4. They are all designing to TSMC N3 and TSMC N2 now. Qualcomm does have a Samsung 2nm design in process but I believe that is for Samsung products.
 
1759166140572.webp

From Wikichip N3B is ouch too many layers and info on how many layers Intel uses EVU from what i know on Intel 4 the EUV use is pretty limited.
 
View attachment 3691
From Wikichip N3B is ouch too many layers and info on how many layers Intel uses EVU from what i know on Intel 4 the EUV use is pretty limited.

Yes, N3B is 25 EUV layers and N3E is 19. TSMC calls it yield learning.

Intel 4:

 
I just checked TSMC's site now, it seems to be consistent with as you say:


In 2020, TSMC became the first foundry to move 5nm FinFET (N5) technology into volume production and enabled customers’ innovations in smartphone and high-performance computing (HPC) applications. TSMC’s N5 technology is the Company’s second technology to use EUV lithography and achieved the same success as its predecessor, the N7+ process.
I should hope I was right, what I said was based on actual PDK and layouts, not TSMC (or any other) website... ;-)
 
From my notes:

TSMC N7 is DUV, TSMC N7+ uses 4 EUV layers, TSMC N6 uses 5 EUV layers, TSMC N5 uses 14 EUV layers.

AMD and HiSilicon are the only two customers that I know of that used N7+. It was not a mobile friendly process so Apple skipped it. QCOM used TSMC N7 then moved to Samsung for 5nm. Nvidia went from Samsung 8nm to TSMC N7 to TSMC N4. They are all designing to TSMC N3 and TSMC N2 now. Qualcomm does have a Samsung 2nm design in process but I believe that is for Samsung products.
Are you absolutely sure about N6? As I said we never used it, but I'm pretty sure it was a linear shrink and cost reduction of N7, not N7P -- because the way the layout rules work for quad DUV and EUV patterning are different (end cut rules etc) which is why N7P was incompatible with N7 and hardly anyone used it (including us) because IP needed a total relayout.

Unless TSMC used EUV in a different way for N6 to keep rule compatibility with N7, but I would have thought this would have made little sense for cost reduction -- unless they wanted to use it as a further pipecleaner for EUV before going all-out on it for N5... ;-)
 
Are you absolutely sure about N6? As I said we never used it, but I'm pretty sure it was a linear shrink and cost reduction of N7, not N7P -- because the way the layout rules work for quad DUV and EUV patterning are different (end cut rules etc) which is why N7P was incompatible with N7 and hardly anyone used it (including us) because IP needed a total relayout.

Unless TSMC used EUV in a different way for N6 to keep rule compatibility with N7, but I would have thought this would have made little sense for cost reduction -- unless they wanted to use it as a further pipecleaner for EUV before going all-out on it for N5... ;-)

Yes I am sure. Would you dare to question Scotten Jones? ;)

From TSMC:

EUV process improves logic density; provides backward compatibility
Extreme ultra-violet (EUV) lithography requires fewer masking layers and offers better process variation control. The 6nm (N6) technology utilizes additional EUV layers to improve process simplicity, shorter cycle times, and improve productivity. N6 provides improvements in power, performance, and density over N7 with similar defect density thanks to a smaller standard cell library. Through lithography process optimization, optical proximity correction (OPC), and etch co-optimization, N6 provides backward-compatible design rules, device models, and IP as N7, making the migration from N7 to N6 very straightforward. It also shares the same design flow and EDA tool availability.
 
Yes I am sure. Would you dare to question Scotten Jones? ;)

From TSMC:

EUV process improves logic density; provides backward compatibility
Extreme ultra-violet (EUV) lithography requires fewer masking layers and offers better process variation control. The 6nm (N6) technology utilizes additional EUV layers to improve process simplicity, shorter cycle times, and improve productivity. N6 provides improvements in power, performance, and density over N7 with similar defect density thanks to a smaller standard cell library. Through lithography process optimization, optical proximity correction (OPC), and etch co-optimization, N6 provides backward-compatible design rules, device models, and IP as N7, making the migration from N7 to N6 very straightforward. It also shares the same design flow and EDA tool availability.
OK, my first speculation was wrong then (but my second one wasn't!) -- note the comment about backward-compatible design rules which was what killed N7P, so TSMC learned their lesson then... ;-)

P.S. That would also imply that N6 came out after N5 if TSMCs statement about N5 being their second EUV process was correct -- and I seem to remember this was the case...
 
OK, my first speculation was wrong then (but my second one wasn't!) -- note the comment about backward-compatible design rules which was what killed N7P, so TSMC learned their lesson then... ;-)

P.S. That would also imply that N6 came out after N5 if TSMCs statement about N5 being their second EUV process was correct -- and I seem to remember this was the case...

TSMC N6 and TSMC N5 came out at the same time (Q1 2020). TSMC considers N7, N7+ and N6 one node, that is how they report it. Same with TSMC N5 and N4. TSMC N3 and N2 will be reported separately. So TSMC N7 was the first EUV node, N5 2nd, N3 3rd and N2 4th. This is all marketing stuff so it does not need to make sense. :cautious:
 
The separate finances part looks complex and doesn't smell good yet. Do you think that would work anytime soon?
they already have revenue, Operating income. the only thing missing is sharing details of the OM. Which they obviously have in order to report the OM.

COS, R&D, SGA and revenue by Node would be nice.

They said before they are going to act separately but I think due to the lack of external customers, that went away and they are far from separate now.
 
Yes I am sure. Would you dare to question Scotten Jones? ;)

From TSMC:

EUV process improves logic density; provides backward compatibility
Extreme ultra-violet (EUV) lithography requires fewer masking layers and offers better process variation control. The 6nm (N6) technology utilizes additional EUV layers to improve process simplicity, shorter cycle times, and improve productivity. N6 provides improvements in power, performance, and density over N7 with similar defect density thanks to a smaller standard cell library. Through lithography process optimization, optical proximity correction (OPC), and etch co-optimization, N6 provides backward-compatible design rules, device models, and IP as N7, making the migration from N7 to N6 very straightforward. It also shares the same design flow and EDA tool availability.
IIRC N7 DUV process used 4P4E while N7+/N6 used 1P1E. But it's a big misunderstanding to equalize the cost and yield of DUV 1P1E and EUV 1P1E.
 
IIRC N7 DUV process used 4P4E while N7+/N6 used 1P1E. But it's a big misunderstanding to equalize the cost and yield of DUV 1P1E and EUV 1P1E.
Indeed -- but the other key point is how many layers EUV is used on, and in newer processes how many separate EUV masks are used (multipatterning).

In N2 there are some triple-patterned vias ... :-(
 
TSMC N6 and TSMC N5 came out at the same time (Q1 2020). TSMC considers N7, N7+ and N6 one node, that is how they report it. Same with TSMC N5 and N4. TSMC N3 and N2 will be reported separately. So TSMC N7 was the first EUV node, N5 2nd, N3 3rd and N2 4th. This is all marketing stuff so it does not need to make sense. :cautious:
Just like "5nm" and "4nm" and "3nm" and "2nm" then... ;-)
 
at least even marketing moved to N7/6/5/4/3/2 and I7/4/3/18A
Yes, but it's still fictional isn't it?

In N2 you can draw a 3nm gate but we know the physical gate length needed to control the GAA channel is something like 12nm, CPP is 48nm, vias are 12nm, M0 pitch is 23nm -- so logically this ought to be a "12nm" process, surely?
 
Yes, but it's still fictional isn't it?
yes but it's not referring to nm

In N2 you can draw a 3nm gate but we know the physical gate length to control the channel is something like 12nm, CPP is 48nm, vias are 12nm, M0 pitch is 23nm -- so logically this ought to be a "12nm" process, surely?
Yeah but good luck explaining that many numbers to people and not to mention TSMC/Samsung/Intels node names are so bad already. At least no one named their process AI12 Process 🤣 🤣
 
yes but it's not referring to nm


Yeah but good luck explaining that many numbers to people and not to mention TSMC/Samsung/Intels node names are so bad already. At least no one named their process AI12 Process 🤣 🤣
It might not say "nm" any more but that's what most non-techie people *think* it means -- and as for Intel's "18A", don't get me started... :-(

There's still only one simple number needed -- going by the long-held convention that an "X nm" process has gate length/via size of X nm, metal pitch of 2X nm, and CPP of 4X nm, N2 is clearly a 12nm process, no arguments.

Unfortunately using this "real nm" number would give away how slowly processes have *really* been shrinking for many generations now, which is not the impression the foundries want to give of "great leaps forward"... ;-)
 
Intel splashes more cash on ASML’s magic machines

Chipzilla bets the farm on 14A while chasing TSMC
Troubled Chipzilla is doubling down on ASML’s obscenely expensive High-NA EUV lithography kit, ordering two more of the €350 million-plus contraptions in the hope of dragging its much-hyped 14A process over the line.

Intel has one of the machines installed and is parading 14A as the historic moment it finally ships a leading-edge node on time. Given Chipzilla’s history of slipping roadmaps, renaming old nodes, and generally disappointing investors, most of the industry is taking that claim with a shovel of salt.

The High-NA toys are crucial to Intel’s so-called IDM 2.0 strategy, which is supposed to claw back ground lost to TSMC and Samsung. Without them, the 14A node risks being dead on arrival.

For ASML, it’s all gravy. Intel hoovered up every available High-NA slot in 2024 and is now poised to become the biggest customer for the kit worldwide. Each machine is a warehouse-sized monstrosity of lenses, mirrors and Dutch engineering, and ASML can’t build them fast enough. Every sale is a license to print money.

Chipzilla insists it is on track to get 14A into production and shipping before TSMC can ramp its equivalent nodes, but sceptics point out that Intel has promised this sort of comeback before and ended up years behind. Even if the machinery works flawlessly, ramping yields to competitive levels is another headache entirely.

I was looking over some of Intel's recent SPIE papers from within the past year. ASML/Zeiss can't measure the High-NA EUV system aberrations at their own site (too big), they have to do so at the customer site. That means all High-NA systems are basically shipped out of spec, and one or more mirrors need to be replaced before final acceptance. This may even need more than one iteration! Can't really use this as an anchor for process release schedule...


 
Last edited:
Back
Top