800x100 static WP 3
WP_Term Object
(
    [term_id] => 158
    [name] => Foundries
    [slug] => semiconductor-manufacturers
    [term_group] => 0
    [term_taxonomy_id] => 158
    [taxonomy] => category
    [description] => 
    [parent] => 0
    [count] => 1232
    [filter] => raw
    [cat_ID] => 158
    [category_count] => 1232
    [category_description] => 
    [cat_name] => Foundries
    [category_nicename] => semiconductor-manufacturers
    [category_parent] => 0
)

SPIE 2017 – ASML Interview and Presentations

SPIE 2017 – ASML Interview and Presentations
by Scotten Jones on 04-19-2017 at 7:00 am

At the SPIE Advanced Lithography conference I sat down with Mike Lercel, Director of Strategic Marketing for ASML for an update. ASML also presented several papers at the conference and I attended many of these. In this article, I will discuss my interview with Mike and summarize the ASML presentations.

Mike Lercel Interview

We started the interview with a discussion of the status of EUV as of 2017.

At 7nm EUV will be used for vias, contacts and cuts. For 5nm, improvements in edge roughness and mask blank defects are still needed. For logic companies EUV is needed for mask count reduction and yield, it doesn’t have to be cheaper.

Some specific comments on EUV status 2017:

  • Source power – 100 wph has been shown at 145 watts, 210 watts has been shown on a stand-alone source that will result in more than 125 wph on scanner.
  • Pellicles – A working full-field polysilicon pellicle has been demonstrated and is in customer testing. Partially automated pellicle mounting tools have been demonstrated. ASML has a partner ramping pellicle production. There are plans to evolve pellicles to high power with work is being done by imec, ASML and others.
  • Availability – System availability is coming up and over 800,000 wafers have been exposed on EUV systems to-date.
  • Masks blisters and blank defects – last year Samsung reported a mask blistered after 40,000 wafers, ArFi masks weren’t that good 10 years after they were introduced. Mask blank defects are getting better but are still driving mask density decisions.
  • Added defects – I asked about the Intel/Samsung papers reporting higher defect levels than ASML’s tests. Mike commented that he does not know the specifics of the customer tests, but recognize the customer data and we are working with them to understand root cause of the defects. I asked if Pellicles fix the problem anyway and Mike commented that it is a customer decision on whether to use pellicles, and some may decide not to. Low pattern density masks aren’t very sensitive to added particles and pellicles absorb some EUV light slowing throughput. Scanners can accept masks with or without pellicles.
  • Resist out gassing – EUV scanners now include a membrane that protects the optics from photoresist out-gassing, this could open new material options.
  • Overlay – overlay on EUV systems is as good as immersion systems and matches to those systems. EUV is a reflective reticle on an electrostatic chuck whereas an immersion mask is a transmission reticle on a vacuum chuck.

We also touched on high NA EUV systems. Mike said they have a roadmap but it isn’t hitting the market any time soon. They have started lens design with Zeiss. The wafer stage must be 2x faster and the reticle stage 4x faster than current immersion systems, acceleration and accuracy are key. They must provide faster stages with better overlay. Mike said the systems are a lot taller and I joked this could be an application for the 450mm ready fab shells that were built.

For optical lithography Mike noted the latest immersion systems are hitting 275 wafers per hour and 2.5nm overlay. They can look at product overlay and process non-uniformity and make corrections for it. This is one reason why they bought HMI – for integrated metrology. They are working on holistic lithography, for example ASML presented a paper with Lam on how to make corrections on scanners and etch systems to address edge placement errors. New overlay capability is faster with more wavelengths and can be stand-alone or on the track.

In the balance of this article I will summarize some of the ASML papers from the conference.

Enabling sub-10nm node lithography: presenting the NXE:3400B EUV scanner
After briefly touching on the history of EUV and some of the key developments the key characteristics of the NXE:3400B were shown, see figure 1.


Figure 1. NXE:3400B key characteristics.

The NXE:3400B is designed to be the first true production EUV system capable of supporting 5nm logic and sub 15nm DRAM.

From NXE:3300B systems to the NXE:3350B and NXE:3400B there has been steady improvements in imaging, focus and overlay as well as source power and throughput.

13nm line/spaces were shown with a 34mJ dose and 3.8nm Line Width Roughness (LWR). 20nm half-pitch contact holes were shown with 3.0nm Local Critical Dimension Uniformity (LCDU) and 2.6nm with pupil tuning. NXE system (EUV) to NXT system (immersion) matching is <2nm.

Added particle reduction are being addressed on two fronts, one is to eliminate the generation of particles in the scanner and the other is block particles from reaching the wafer with a pellicle. For particles added, ASML has a root cause solution for 70% of the particles. Pellicles have now been produced with zero printable defects, zero added defects were found during 1,700 reticle load/unload cycles and 2,400 wafers were exposed defect free.

A membrane has been added to the NXE:3400B at the dynamic gas lock to act as a spectral purity filter suppressing Deep Ultra Violet (DUV) and Infrared (IR) and to block any resist outgassing from the optics.

A productivity roadmap to >125 wph is in place, with a 60 to 85 wph range achieved in 2016. For 2017 an 8 wph improvement in wafer overhead time, transmission improvements, and higher source power should get up to 125 wph. In 2018 further source power improvements are expected to achieve >125 wph.

Scaling LPP EUV sources to meet high volume manufacturing requirements
This talk focused on the EUV light source with four major productivity targets, conversion efficiency, laser power, dose margin and droplet generator maintenance.

In 2015 EUV power scaling from 10 watts to 100 watts was enabled by: an increase from 3 stage to 4 stage laser, introduction of pre-pulse technology, precise main-pulse temporal pulse shape control, and pulse-to-pulse dose control.

In 2016 EUV power scaling from 100 watts to >205 watts was enabled by: increased average and peak laser power, enhanced isolation technology, advanced target formation technology, and improved dose-control.

In 2017 >205 watts has now been demonstrated on the shipping configuration of the NXE:3400. Dose and power achieve 100% yield at 205 watts and 99.96% yield at 210 watts.

A third-generation tin droplet generator is now available with ~700-hour average lifetime and 2,700 hours shown in the field. The third-generation droplet generator has a restart capability, factory qualification, tin refill capability, enhanced particle elimination, and a 70% reduction in average maintenance time.

Finally, research is underway towards a 400 watt EUV source, see figure 2.


Figure 2. Research towards a 400 watt EUV source.

The future of EUV lithography: enabling Moore’s law in the next decade
The presentation begins with a brief review of EUV progress, 4x resist resolution improvement in 10 years, 10x source power improvement in 5 years, and scanner throughput improvement from 100 wph Q1-2017.

EUV NA targets have evolved from 0.25 NA to the current 0.33 NA with >0.5 NA targeted for around 2020 with < or = 8nm resolution. The architecture of the high NA is show in figure 3.


Figure. 3 High NA EUV system.

The high NA optics are an anamorphic design with 4x magnification in the x direction and 8x in the y direction allowing 6″ masks to continue to be used and providing smaller angles of incidence at the mask. Mirror size will be roughly double in high NA systems while requiring roughly 2x improvement the mirror surface accuracy.

The new anamorphic design will print half-fields and require faster wafers stage by 2x and mask stage by 4x to provide high productivity.

High NA will reduce depth of focus requiring tight focus control. Where the focus control budget for the NXE:3350B was approximately 80nm, for the NXE:3400B is will be 60nm, for high NA 30nm is required. Predicted improvements are, improved lens aberrations, wafer clamp and wafer heating control, and sensor stability and process dependency.

High NA offers the promise of improved effective throughput by limiting dose and number of LE steps, see figure 4.


Figure 4. High NA offers improved effective throughput.

High NA can simplify processes by 33% while reducing patterning cost by 42% and providing a continuation of the industry shrink roadmap.

Improvements in resist performance towards EUV HVM
The presentation began with a set of targets for resist performance, see figure 5.


Figure 5. EUV Resist Targets

Meeting all three requirements on the RLS triangle (Resolution, Line Width Roughness and Sensitivity) is challenging. The relation between the parameters is influenced by aerial contrast of the scanner and resist parameters. ASML has developed a model of LCDU and found blur and absorption are key parameters. The modeling shows that the optimum blur to minimize LCDU is pitch dependent between half-pitch divided by 3 to 5. The model has been validated versus experimental data and shows that higher contrast resist improves LCDU and/or dose.

Chemically Amplified Resists (CAR) were shown with 13nm dense lines and spaces with 4.4 nm LWR at a 58 mJ/cm2 dose. Non-CAR Inpria resist showed the same line spaces with 3.8nm LWR at a 38 mJ/cm2 dose. 13nm, 12nm, 11nm and 10nm line and spaces were shown with Inpria although the dose increased as the linewidth was reduced up to 70mJ/cm2.

Dense 20nm half-pitch contact holes were shown with LCDU of 3.03nm meeting industry requirements for the 5nm node. For CAR resist, etching has been shown to reduce LCDU up to 50%.

It was also noted that the previously discussed membrane will allow a wider range of materials to be used. Higher NA systems at 0.55 NA will enable 3nm node requirements.

Conclusion
For such an incredibly difficult technology the rate and continuation of progress is very impressive. The biggest areas that still need to be addressed are lower resist doses while achieving acceptable LWR at target resolutions. Source power and productivity are both making good progress and a usable pellicle should be available in production volumes in time for the insertion of EUV.

Authors note: Samsung has announced their 7nm technology will be EUV based with a later 2018 in production ramp target. TSMC has also announced a 7nm+ technology using EUV also targeted for late 2018.

Share this post via:

Comments

0 Replies to “SPIE 2017 – ASML Interview and Presentations”

You must register or log in to view/post comments.