WP_Term Object
(
    [term_id] => 18
    [name] => Intel
    [slug] => intel
    [term_group] => 0
    [term_taxonomy_id] => 18
    [taxonomy] => category
    [description] => 
    [parent] => 158
    [count] => 415
    [filter] => raw
    [cat_ID] => 18
    [category_count] => 415
    [category_description] => 
    [cat_name] => Intel
    [category_nicename] => intel
    [category_parent] => 158
)

Intel EUV Photoresist Progress and ASML High NA EUV

Intel EUV Photoresist Progress and ASML High NA EUV
by Scotten Jones on 03-10-2016 at 4:00 pm

 SPIE Days 3 and 4:

Anna Lio of Intel presented EUV resists: What’s next?

Intel wants to insert EUV at 7nm but it has to be ready and economical. Critical Dimension Uniformity (CDU), Line Width Roughness (LWR) and edge placement/stochastics are all stable on 22nm, 14nm and 10nm pilot lines.

Sensitivity of the photoresists is OK to start with all of them all under 20 mJ/cm[SUP]2[/SUP] but resolution is not good enough. Source power is now trending to plan.

The 14nm pilot line has been running for 2 years starting out with an NXE3100 and then transitioning to an NXE3300. Via has been stable at <20 mJ/cm[SUP]2[/SUP] for 7 months.

NXE3300 electrical data has been equal or better than 193i electrical data for 6 months. Edge Placement Error (EPE) is a little better than 193i with a tighter distribution. They believe they can improve resolution. EUV has good corner rounding that is better than 193i. Resist defects are similar to 193i. Resist metal contamination needs to meet specifications. High Volume Manufacturing (HVM) supply needs to be developed with lower resist costs. For lines CDU, depends on sensitivity and chemistry and it needs to get better.

Recombining multiple 193i masks to one EUV mask will help with EPE (authors note, Intel has talked about quadruple self-aligned pattering with up to five cut masks at 7nm using 193i, EUV could provide a singel cut mask solution).

20nm vias have a 3 sigma CD of ~3nm. Via needs to meet 1ppt error for 99% probability of yielding for an MPU. The process needs to be robust, resolution is not sufficient by itself.

When 248nm lithography was introduced a brighter source and further resist development was needed. Excimer lasers and Chemically Amplified Resists (CAR) were then developed to fix the problems. We need to understand the resist chemistry at a detailed level. How do we generate more electrons per photon and use them all. We need to look inside the resist with electron spectroscopy. The photons for a 15 mJ/cm[SUP]2[/SUP] dose are 145 for 193i and 10 for EUV. At 30nm with 640 quencher or 24nm with 410 quenchers can produce 15 mJ/cm[SUP]2[/SUP] doses. Resist stochastics can dominate over photon statistics. There may be absorption opportunities that haven’t been explored yet. With an NXE3300 a ~20nm line higher absorption needed a higher dose, amplification was important. For a 15nm line ~20 mJ/cm[SUP]2[/SUP] was very smooth.

Random non-homogenous distributions = high variability. Components with too few elements have a large impact. Increasing absorption improves sensitivity and reduces shot noise. Use electron spectroscopy to understand what happens.

Resist need to be designed with stochastics in mind, there is also an opportunity in the developer. In 10 years there will be 100 billion transistors per MPU the same as the number of neurons in the brain. Can we learn from nature? Enzymes make reactions faster and very specific. We need more order in resists, they need to be fast and controlled at reasonable dose to target. Today’s resist may not carry us into the future, we need to think stochastics first. They are getting encouraging 14nm results and running 10nm now.

Near and long term are ruled by stochastic control, we need more ordered systems that are fast and controlled. Resist need to be evaluated at the same dose and various features.

ASML presented “EUV high-NA scanner and mask optimization for sub-8nm resolution

Unfortunately I didn’t catch the presenters name for this talk and the paper lists several authors.

Two years ago SEMTECH gave a paper at the conference on high numerical aperture EUV systems. At that time the plan was to add several mirrors to the optical path and since each mirror absorbs a significant amount of light the light output would fall making already slow systems slower. The proposal at that time was also to move to larger reticle sizes forcing the entire mask making industry to retool. I walked out of that talk shaking my head thinking this will never happen.

Last year the idea of an anamorphic system with 8x reduction in one direction and 4x reduction in the other direction was introduced and that was a major breakthrough.

The anamorphic concept extends the existing mask infrastructure into the next decade. A system with ~0.5NA can be built with a maximum 30[SUP]o[/SUP] light angle.

The mirrors are molybdenum/silicon multilayers with 4 source mirrors and then the mask followed by 5 mirrors. You have to increases the angles at the mask to control shadowing due to the depth of the absorber and this leads to higher magnification but you only need it in one direction. The design is for 8x magnification in the scan direction and 4x magnification in the width direction half field exposures. The principle illuminator from the NXE3300/3400 van be reused by just reconfiguring the pupil mirror to an elliptical pupil.

Larger lens elements are required with tighter specifications; no show stoppers are seen. Extreme aspheres, tight specifications, large last mirror because to increase NA needs a larger angle. Standard coatings can’t handle the angle. If you drill a hole in the center of the last mirror, light then enters the center of the mirror and cuts the angles in half. This results in smaller angles than the 0.3 NA tool increasing transmission.

The center hole blocks part of the first diffraction order reducing contrast. If you limit the obscuration to <20[SUP]o[/SUP] acceptable performance is seen and exposure latitude and pitch are improved. Full field is dim but half field looks good.

Because of the half field the wafer stage needs to be 2x faster, the mask stage needs to be 4x faster and the laser power needs to be 500 watts to maintain throughput. Dissipated power goes up as I[SUP]2[/SUP]R, with the current stage at 4X speed, the power goes to infinity. The stage mass and motor both need to be improved. Possible solutions get them to 4x faster with 9x the power. Adding a 500-watt laser gets throughput to >150 wafer per hour.

Transmission is better than current systems. 110nm Depth of Focus (DOF) for and NXE3300 goes to 30nm for a high NA system. Better 3D mask effects, better level sensors, better optics and flatter wafers are all needed to get to 30nm DOF. Improved level sensor for NXE3500 with a shorter wavelength for less film penetration will be used.

Magnification options are being looked at. 4x/8x with a 104 x 132mm mask area or 4.8x/7.5x with a square 124mm mask area are options. 4.8x/7.5x allows a 15% larger mask defect without printing. 4x/8x fits current 200mm wafer based pellicle, a 4.8x/7.5x square pattern doesn’t fit. 4.8x/7.5x imaging is good and mask requirements and defectivity are better but it requires a pellicle change.

Other articles from Scott…

Share this post via:

Comments

0 Replies to “Intel EUV Photoresist Progress and ASML High NA EUV”

You must register or log in to view/post comments.