WP_Term Object
(
    [term_id] => 12369
    [name] => Information Network
    [slug] => information-network
    [term_group] => 0
    [term_taxonomy_id] => 12369
    [taxonomy] => category
    [description] => 
    [parent] => 14433
    [count] => 15
    [filter] => raw
    [cat_ID] => 12369
    [category_count] => 15
    [category_description] => 
    [cat_name] => Information Network
    [category_nicename] => information-network
    [category_parent] => 14433
)
            
Information Network Banner SemiWiki
WP_Term Object
(
    [term_id] => 12369
    [name] => Information Network
    [slug] => information-network
    [term_group] => 0
    [term_taxonomy_id] => 12369
    [taxonomy] => category
    [description] => 
    [parent] => 14433
    [count] => 15
    [filter] => raw
    [cat_ID] => 12369
    [category_count] => 15
    [category_description] => 
    [cat_name] => Information Network
    [category_nicename] => information-network
    [category_parent] => 14433
)

Changes Coming at the Top in Semiconductor Equipment Ranking

Changes Coming at the Top in Semiconductor Equipment Ranking
by Robert Castellano on 12-10-2018 at 12:00 pm

Semiconductor equipment vendor ranking, which didn’t change much between 2016 and 2017, is undergoing a makeover, as Lam Research, ASML, and Tokyo Electron (TEL) are switching places and top-ranked Applied Materials is getting closer to losing its number one ranking.

Since the 1990s, Applied Materials has been the market leader in the semiconductor equipment space. Previously, Japan’s TEL was the market leader going back to 1989. TEL, which was No. 4 in 2016 is now No. 2 through the first three quarters of 2018. But most important, the spread between the No. 1 and No. 2 companies is rapidly shrinking.

In 2016, Applied Materials was 9.3 share points ahead of Lam Research. In 2017, Applied’s lead dropped to 6.4 share points ahead of Lam. Now, through the first three quarters of 2018, Applied’s lead has shrunk to just 2 share points ahead of TEL.

An important takeaway is that Applied Materials is the only company that lost market share sequentially in each of the time periods, while ASML and TEL were the only two companies that sequentially increased market share in the periods.

22730-semiconductor-equipment-top-7.jpg

More Headwinds Coming
The semiconductor equipment market grew from $41.2 billion in 2016 to $56.6 billion in 2017, an increase of 37.2%. For the first three quarters of 2018, global revenues increased 19.4%. Assuming a growth of 10% for all of 2018, revenues for Q4 2018 should only reach $12.7 billion, down 15.2% YoY from Q4 2017. Chart 1 plots semiconductor market between 2015 and 2018 on a quarterly basis.

22730-semiconductor-equipment-top-7.jpg

Much of the growth in equipment came from Korean semiconductor manufacturers, particularly memory companies Samsung Electronics and SK Hynix. In 2017, Korea represented 31.7% of the $56.6 billion semiconductor equipment sector. Through the first three quarters of 2018, Korea accounted for 29.4% of the global market.

Demand in the server, PC, and mobile markets is weaker than it was earlier in the year, and memory prices are softening in the near term. Because of a drop in average selling prices of DRAMs and NAND, memory companies are pushing out capex spend. Samsung Electronics said the addition of 20,000-30,000 wafers/month of DRAM capacity at the Pyeongtaek plant’s second floor will be postponed to 2020 in order to maintain profitability along with strategic inventory controls.

If margins decrease, Samsung will likely delay a 2019 planned NAND capacity expansion of 30,000 wafers per month on the second floor of Pyeongtaek #1 plant and at its Xian #2 plant to 2020.

While all semiconductor equipment suppliers tout the memory companies among its customers, Applied Materials and Lam Research have high exposure to memory. In its most recent quarter ending October 2018, Applied reported that 60% of its revenue came from the memory sector.

TSMC has also reduced its capital spending outlay for the year, due to weaker than expected sales in iPhones, where it supplies the processor chip, and because the collapse in cryptocurrencies

Applied Materials competes against all equipment companies listed in Table 1 except lithography ASML. ASML is the sole supplier of EUV (extreme ultra violet) lithography equipment. EUV is supposed to slowly replace DUV immersion lithography as the industry moves to the 7nm technology node.

The replacement of DUV immersion by EUV will dramatically reduce deposition, etch, and metrology steps. Current DUV immersion is viable for processing devices with 30nm features. Below that, engineers employ multiple patterning steps as a way of extending the DUV lithography tool. These multiple processing steps are deposition-etch intensively, utilizing equipment from AMAT and LRCX (and others). In other words, semiconductor manufacturers are utilizing multiple patterning processes, requiring extensive use of deposition and etch equipment, to avoid purchasing the extremely expensive EUV lithography equipment.

If we look at Chart 2, using immersion DUV (ArF-1) at the 20nm node there are 13 mask layers, etch of which use multiple dep-etch steps. If we move across the top of the chart, at 10nm there are 18 mask layers, an increase of 50% in the use of deposition-etch steps.

22730-semiconductor-equipment-top-7.jpg

Chart 2

Multiple patterning at the 7nm node, as shown in the bottom left of the chart, requires 27 mask layers. However, by switching to EUV (bottom right) at 7nm, only 14 mask layers are required, similar to the 20nm node with DUV.

As for the terminology, switching from DUV to EUV, the double litho, double etch (LELE) process will be eliminated while ArF-I (immersion DUV) would continue to be used for the self-aligned double patterning (SADP) and self-aligned quadruple patterning (SAQP) processes. Most importantly, half the processing steps will be eliminated.

A combination of all these headwinds are significant detriments to the sustained growth of the semiconductor equipment market in 2019. According to The Information Network’s report “The Global Semiconductor Equipment: Markets, Market Shares and Market Forecasts,” that means global equipment revenues could drop 8%.

Share this post via:

Comments

3 Replies to “Changes Coming at the Top in Semiconductor Equipment Ranking”

You must register or log in to view/post comments.