WP_Term Object
(
    [term_id] => 20
    [name] => Samsung Foundry
    [slug] => samsung-foundry
    [term_group] => 0
    [term_taxonomy_id] => 20
    [taxonomy] => category
    [description] => 
    [parent] => 158
    [count] => 128
    [filter] => raw
    [cat_ID] => 20
    [category_count] => 128
    [category_description] => 
    [cat_name] => Samsung Foundry
    [category_nicename] => samsung-foundry
    [category_parent] => 158
)
            
WP_Term Object
(
    [term_id] => 20
    [name] => Samsung Foundry
    [slug] => samsung-foundry
    [term_group] => 0
    [term_taxonomy_id] => 20
    [taxonomy] => category
    [description] => 
    [parent] => 158
    [count] => 128
    [filter] => raw
    [cat_ID] => 20
    [category_count] => 128
    [category_description] => 
    [cat_name] => Samsung Foundry
    [category_nicename] => samsung-foundry
    [category_parent] => 158
)

Samsung Details Foundry Roadmap

Samsung Details Foundry Roadmap
by Scotten Jones on 06-09-2017 at 10:00 am

 Samsung recently held a meeting where they laid out a detailed roadmap for their foundry business. On Tuesday June 1st, Daniel Nenni and myself had an interview with Kelvin Low, senior director of foundry marketing and business development to discuss the details of Samsung’s plans.

Samsung has a rich history of foundry innovation, they were the first foundry with: high-k metal gate (HKMG), FinFETs, and FDSOI and now plan to be first with EUV.

Foundry Division
Samsung has announced they will be spinning out their foundry division into a separate business unit. This should help to allay the concerns of Samsung’s customers that they are buying from a competitor. The new business unit will be a profit and loss center with its own fabs, quality, and design resources. The foundry business unit will still leverage Samsung’s central R&D and test and packaging resources.

The foundry fabs will be made up of S1 in Giheung South Korea, S2 in Austin, Texas, United States and the new S3 fab being built in Hwaseong, South Korea. S3 will be in production in Q4 of 2017 for 10nm and will be a EUV cluster alongside the collocated memory fabs. I estimate that S1 and S2 are each around 80,000 wafers per month. S3 is expected to be 18,000 wafers per month in phase 1 and an additional 30,000 wafers per month in phase 2. S3 will also produce 7nm. Table 1 summarizes the fabs.

[TABLE] border=”1″ align=”center”
|-
| style=”width: 42px” | Fab
| style=”width: 96px” | Country
| style=”width: 90px” | Estimated capacity (wph) [1]
| style=”width: 96px” | Status
| style=”width: 144px” | Nodes [1]
|-
| style=”width: 42px” | S1
| style=”width: 96px” | South Korea
| style=”width: 90px” | 80,000
| style=”width: 96px” | On-line
| style=”width: 144px” | 65nm down to 10nm
|-
| style=”width: 42px” | S2
| style=”width: 96px” | United States
| style=”width: 90px” | 80,000
| style=”width: 96px” | On-line
| style=”width: 144px” | 28nm and 14nm
|-
| style=”width: 42px” | S3
| style=”width: 96px” | South Korea
| style=”width: 90px” | 48,000
| style=”width: 96px” | Construction
| style=”width: 144px” | 10nm and 7nm, EUV
|-

[1] IC Knowledge estimates

Table 1. Samsung foundry group fabs.

Samsung’s LSI group will not have their own fabs and will be a customer of the foundry business unit.

FDSOI
FDSOI is in mass production at Samsung with 28FDS. NXP has been very vocal in their support for FDSOI and it fits well for microcontroller (MCU), automotive and internet of things (IOT) applications.

28FDS was introduced in 2016, RF is being added in 2017 and embedded MRAM (eMRAM) in 2018. RF process design kit (PDK) 1.0 has been released and a lead customer is working with Samsung. eMRAM has been demonstrated on bulk CMOS and is being ported to FDSOI. It is a process module that can be added and only requires a few masks.

Samsung has now announced 18FDS for 2019 with RF and eMRAM in 2020. When we last spoke to Kelvin he talked about a follow-on to 28FDS that would avoid multi-patterning suggesting a 22nm process. With GLOBALFOUNDRIES ramping 22FDX, and 12FDX, planned I thought at the time that a 22nm process would not be aggressive enough. 18FDS will have Samsung’s 14nm back end and front-end transistor enhancements and should be more competitive.

18FDS offers up to a 20% performance improvement at the same power or 40% less power at the same performance with 30% scaling versus 28FDS. For RF 18FDS can offer 40% lower power than bulk at the same F[SUB]T[/SUB]. eMRAM will be 1,000x faster than flash and is very modular.

14nm
Samsung was the first foundry to offer 14nm and has now shipped 1 million wafers. Defect densities have reached mature level.

Samsung has 4 versions of 14nm:
[LIST=1]

  • LPE (early) – the first version of the process for early adopters – no longer offered.
  • LPP (performance) – offers a 14% performance boost over LPE.
  • LPC (cost) – introduced in late 2016, LPC has a few less masks and reduces costs versus LPP but with identical design rules.
  • LPU (ultimate) – 15% better performance than LPP. Samsung is in discussion with 3 customers and expects production this year,


    10nm

    Like 14nm, Samsung has multiple 10nm versions planned:
    [LIST=1]

  • LPE (early) – October 2016, the first mass production with products in the market now.
  • LPP (performance) – qualified and available for risk production now. Offers improved transistor performance with the same back end as LPE. The design rules are the same and SRAM yields match LPE. Samsung expects a rapid yield ramp for this process leveraging LPE learning.
  • LPU (ultimate) – risk production ready by the end of 2017.

    8nm
    8nm is another new addition to Samsung’s roadmap. When Samsung announced that 7nm would be EUV based I was concerned that they risked falling behind TSMC and GLOBALFOUNDRIES at 7nm if EUV slipped. 8LPP will be available for risk production by the end of 2017 and is an optical based process to serve as a bridge to 7nm. 8LPP adds a couple of masks for some scaling. It has additional gate density and other knobs to improve performance.

    7nm
    Samsung has now demo’ d a 250 watt EUV source and over 1,200 wafers per day. I asked whether this was at ASML or at a Samsung site and Kelvin wouldn’t explicitly answer the question although he did say they needed to be close to the source engineers and that makes me think this work was done at ASML.

    7LPP will be EUV based and is due in 2018, my guess is late 2018.

    6nm and 5nm

    6nm is due a year after 7nm in 2019 and will have a lot of modules in common with 8LPP. 5LPP is also due in 2019 with additional scaling and will be the last FinFET generation from Samsung. I would expect that both 6nm and 5nm will make more extensive EUV use than 7nm.

    4nm
    4nm is due in 2020 and will be Samsung’s first post FinFET process utilizing stacked horizontal nanosheets in a process Samsung calls Multi Bridge Channel (MBC).

    Packaging
    Samsung has a strong packaging team that will now be available to customers. Generation 1 is package on package transitioning to generation 2 using a fan out system in a package mounted side by side. They also have I-cube with the first generation based on a silicon interposer and a second generation based on a silicon-less interposer.

    Conclusion

    Samsung has now laid out the most detailed and aggressive roadmap of any foundry. The planned process introductions in terms of both technology and pace are very impressive. It will be interesting to watch how well Samsung can execute on this ambitious plan.

    Share this post via:

  • Comments

    14 Replies to “Samsung Details Foundry Roadmap”

    You must register or log in to view/post comments.