WP_Term Object
(
    [term_id] => 31
    [name] => GlobalFoundries
    [slug] => globalfoundries
    [term_group] => 0
    [term_taxonomy_id] => 31
    [taxonomy] => category
    [description] => 
    [parent] => 158
    [count] => 240
    [filter] => raw
    [cat_ID] => 31
    [category_count] => 240
    [category_description] => 
    [cat_name] => GlobalFoundries
    [category_nicename] => globalfoundries
    [category_parent] => 158
)
            
GlobalFoundries Logo 2021
WP_Term Object
(
    [term_id] => 31
    [name] => GlobalFoundries
    [slug] => globalfoundries
    [term_group] => 0
    [term_taxonomy_id] => 31
    [taxonomy] => category
    [description] => 
    [parent] => 158
    [count] => 240
    [filter] => raw
    [cat_ID] => 31
    [category_count] => 240
    [category_description] => 
    [cat_name] => GlobalFoundries
    [category_nicename] => globalfoundries
    [category_parent] => 158
)

IEDM 2016 – GLOBALFOUNDRIES 22FDX Update

IEDM 2016 – GLOBALFOUNDRIES 22FDX Update
by Scotten Jones on 12-16-2016 at 4:00 pm

 At IEDM in 2015 I had a chance to sit down with Subramani (Subi) Kengeri and get a briefing on GLOBALFOUNDRIES 22FDX technology. At IEDM 2016 Rick Carter of GLOBALFOUNDRIES presented a paper on 22FDX. Following Rick’s presentation, I had a chance to sit down with Rick and John Pellerin, VP of Technology and Integration and further discuss the status of 22FDX.

My article on 22FDX from IEDM 2015 is available here.

In 2015 22FDX was still in development, this year 22FDX is getting ready to ramp. The SRAM HD cell is seeing 95% yield and the defect density is in-line with GLOBALFOUNDRIES mature 28nm technology. The IP Ecosystem is well underway and over 60 companies are engaged with GLOBALFOUNDRIES from evaluation of the PDK to prototype design. The process is in the last stage of qualification and they are running customer IP on multi-project wafers. Basically, last year Subi said 22FDX would do certain things and this year 22FDX is delivering on the promise.

As an author’s side note, I interviewed Gary Patton, CTO of GLOBALFOUNDRIES back in November of 2015. The key theme of my discussion with him was execution. Gary admitted that GLOBALFOUNDRIES had execution problems in the past and said that his key focus as the new CTO was on executing. Over the last year GLOBALFOUNDRIES has been hitting all their new technology milestones.

My November 2015 interview with Gary is available here.
.
22FDX is an FDSOI process and one of the most unique features of the process is the ability to dynamically tune performance. By forming a gate under the buried oxide, the body of devices can be forward biased (FBB) increasing performance or reverse biased (RBB) to reduce leakage. This can be done locally and dynamically to optimize each area on the chip for the specific requirements. Biasing can also be used at the end of the process to adjust for process variations and dial in the final product. In a typical design environment, you must design for the process corners and this requires extras cells. With 22FDX you can design for the mean and trim for the corners with biasing. While I was at the conference I had the benefits of this technique confirmed to me by an executive at an IP company.

22FDX has an optimum energy operating point of 0.4 volts, the lowest FinFET process operating voltage that I am aware of is 0.55 volts and since active power is proportional to voltage squared, 0.4-volt operation provides a significant power advantage.

22FDX provides integrated RF capability with an NMOS F[SUB]T[/SUB]/F[SUB]Max[/SUB] of 350/325 GHz and a PMOS F[SUB]T[/SUB]/F[SUB]Max[/SUB] of 290/250 GHz. This is over 2 times the F[SUB]T[/SUB]/F[SUB]Max[/SUB] of current FinFET processes. With layout optimization NMOS F[SUB]T[/SUB]/F[SUB]Max[/SUB] can be further improved to 400/335 GHz and PMOS F[SUB]T[/SUB]/F[SUB]Max[/SUB] can be further improved to 350/310 GHz.

Another advantage to 22FDX versus FinFETs is that 22FDX has 30% fewer masks than a 14nm FinFET process. 22FDX does require a more expensive starting substrate but the reduced process complexity results in a lower cost process that can provide similar digital logic performance with better analog and RF performance. The fewer masks and planar design enable much lower design costs, a critical feature for low cost or smaller volume products. FDSOI is more radiation tolerant making it ideal for automotive applications.

In summary GLOBALFOUNDRIES continues to deliver on their promises and 22FDX is a process well positioned to address IOT, automotive and mobile applications.

Also read: IEDM 2016 – 7nm Shootout

Share this post via:

Comments

There are no comments yet.

You must register or log in to view/post comments.