WP_Term Object
(
    [term_id] => 27
    [name] => Silvaco
    [slug] => silvaco
    [term_group] => 0
    [term_taxonomy_id] => 27
    [taxonomy] => category
    [description] => 
    [parent] => 14433
    [count] => 81
    [filter] => raw
    [cat_ID] => 27
    [category_count] => 81
    [category_description] => 
    [cat_name] => Silvaco
    [category_nicename] => silvaco
    [category_parent] => 14433
)

IC Design and OpenAccess

IC Design and OpenAccess
by Daniel Payne on 03-06-2016 at 12:00 pm

EDA vendors have long used proprietary file and database formats to keep their users locked into their specific tool flow and keep any competitors from sharing in the IC design process. Along the way the actual users of EDA tools have often requested and helped to create interoperable flows so that they could mix and match multiple EDA vendor tools into a cohesive flow or sub-flow. Way back in 1999 something called the OpenAccess coalition was formed when Cadence offered their database and API as a starting point to share. Using an API to access the database made it easer for other EDA vendors to read and write IC information without having to know the details of the database itself, or continue to use their own database.

Lots of EDA vendors and users got behind this idea of OpenAccess, like: Agilent Technologies, Altera, AMD, AnaGlobe Technology, ANSYS, Atrenta, AWR Corporation, Cadence, D2S, Dolphin Integration, Entasys Design, Fractal Technologies, HP, Huada Empyrean Software, IBM, Intel, Invarian, Jedat, MatrixOne, Mentor Graphics, Micro Magic, Oracle, PDF Solutions, Gmbh, Pulsic Limited, Qualcomm, R3 Logic, Samsung Electronics, SiliconBlue Technologies, Silvaco, Synopsys, TSMC, Tanner Research, Teklatech, Tela Innovations, Texas Instruments, and Zuken. This API comes in more than one programming language too: C++, C#, Perl, Python, Ruby and Tcl.


Let’s say that you work in a large IC design company and another group has used Cadence IC tools to create some cells and blocks, but your group is using Silvaco IC tools and you want to re-use those cells and blocks. How would you do that? With OpenAccess the process is straight forward, because Silvaco has a schematic capture tool called Gateway and a layout editor named Expert, both tools are OpenAccess compatible. There’s a webinarfrom Silvaco that will walk you through this IC design portability issue on March 17th, from 10:00AM to 11:00AM PST.

Here’s the agenda for the webinar:

  • What is OpenAccess
  • Differences between oPDK and iPDK
  • How to use OpenAccess in the Silvaco custom design environment
  • Key challenges

    • Inter-operability
    • Differences between PDK types

Process Design Kits (PDK) are created by the foundry for use by EDA tools and in the early days the foundries would have to create a unique PDK for each of the major EDA vendor tools, requiring a lot of time and duplicating a lot of valuable engineering effort. Several years ago an industry standardization effort took shape around Interoperable PDKs, dubbed iPDK. Here’s what a PDK looks like with OpenAccess:


PDK with OpenAccess

Historically the EDA industry tends to adopt multiple standards for the same thing, so sure enough we also have OpenPDK started by Si2 and EDA vendors. At the webinar you’ll learn about the differences between OpenPDK and iPDK,

The webinar presenter from Silvaco is Brian Bradburn and he’s been in the software industry for 20 years, at Silvaco for the past 15 years and he heads up the front-end/back-end division. I’ll be attending this webinar and blogging about what I learn, so stay tuned for the details.

Webinar Registration
To attend this webinar requires a short registration process online here.

Related Blogs

Share this post via:

Comments

0 Replies to “IC Design and OpenAccess”

You must register or log in to view/post comments.