WP_Term Object
(
    [term_id] => 31
    [name] => GlobalFoundries
    [slug] => globalfoundries
    [term_group] => 0
    [term_taxonomy_id] => 31
    [taxonomy] => category
    [description] => 
    [parent] => 158
    [count] => 240
    [filter] => raw
    [cat_ID] => 31
    [category_count] => 240
    [category_description] => 
    [cat_name] => GlobalFoundries
    [category_nicename] => globalfoundries
    [category_parent] => 158
)
            
GlobalFoundries Logo 2021
WP_Term Object
(
    [term_id] => 31
    [name] => GlobalFoundries
    [slug] => globalfoundries
    [term_group] => 0
    [term_taxonomy_id] => 31
    [taxonomy] => category
    [description] => 
    [parent] => 158
    [count] => 240
    [filter] => raw
    [cat_ID] => 31
    [category_count] => 240
    [category_description] => 
    [cat_name] => GlobalFoundries
    [category_nicename] => globalfoundries
    [category_parent] => 158
)

GlobalFoundries FD-SOI. Yes, It’s True

GlobalFoundries FD-SOI. Yes, It’s True
by Paul McLellan on 07-13-2015 at 6:00 am

 There have been rumors around for months (even on Semiwiki here) but today it is official. GlobalFoundries announced 22FDX which is a 22nm FD-SOI platform. GF announced that they had licensed FD-SOI from STMicroelectronics a couple of years ago and then…nothing. I just assumed it was a marketing deal that would be driven by mutual customers for 28nm FD-SOI if some customer needed a second source. Mostly the world was going FinFET unless you were ST. But gradually I started to see little bits of new information. Tools that analyzed designs would put FD-SOI way ahead of FinFET. Most of the information about both FD-SOI and FinFET compared them to 28nm or even 40nm bulk and, unsurprisingly, they both looked better. I’m getting a bit bored with reading that the latest ARM processor is x% faster when there is process node change in there too. Well duh. It turns out that for high performance designs (Intel microprocessors obviously) that FinFET is great. Really high drive, some capacitance stuff to deal with but…yes…fast. But for really low power and low cost without that screaming performance it was not so good. ST has been saying for years that FD-SOI is great but usually they are comparing it to 28nm bulk or something so hard to judge. Today GF announced the industry’s first 22nm FD-SOI with FinFET like performance and power efficiency but 28nm cost. This is a big deal. 28nm is a “long-lived node” meaning its cost is really low so matching its cost at better power/performance is a big deal.  Just as at 28nm FD-SOI has some of the same characteristics but even more so:

  • operation down to 0.4V. I think we all know that voltage is squared in the power equation by now. And leakage is a lot better at lower voltage
  • body-biasing that can either increase performance or decrease power, all under software (or hardware) control
  • capability to integrate RF for reduced power, reduced system cost. Hey, integrated RF. Big deal.
  • did I say low cost, low power and wireless? Are there three letters that spring to mind. Like IoT. Perfect match. Actually any mobile application.

It’s not just a process but a family of processes. There are four. You have to learn to use your shift key right since they are all mixed upper and lower case.

  • 22FD-ulp, which iIguess stands for ultra-low power. It is 70% lower power than GF’s 28nm HKMG process. that’s a lot, by the way. Tradeoff of performance versus power via back-biasing (which can be done dynamically) so that a device that is largely idle can be put in low leakage mode and then turned on when the performance is truy needed
  • 22FD-ull, which I guess stands for ultra-low leakage at around 1pA/um. Extra devices for really low leakage. IP available for IoT type applications such as BTLE, Zigbee and Thread
  • 22FD-uhp, obviously ultra-high-performance with back biasing used the other way around to get forward body bias and overdrive. Think networking, base-stations, back-haul. IP available for high-speed interfaces. MIM decoupling capacitors, multiple 2X routing metals
  • 22FD-rfa, for RF and analog. Complete kit. Capacitors, inductors, transmission lines, transformers. A different RF ready back end of line (BEOL) with ultra-thick metal stacks. Just ready for LTE, WiFi and all the ususal wireless acronyms. Like 5G although to be honest it has not been defined yet.

So is this stuff really any good? Of course 22nm FD-SOI is going to be better than 28nm bulk, the real comparison nobody does is how does it compare to FinFET? And does that forward body bias stuff (FBB) really matter that much? Let’s build a smart watch. How about basically the same performance frequency and double the battery life. Ever hear complaint #1 about Apple’s iWatch. Battery life too short. This is important stuff.  The 22nm number is not a coincidence. I don’t know because I haven’t been fully briefed yet (that will happen on Wednesday) but I assume it means there is almost no double patterning. Intel went to 22nm for their first FinFET process for the same reason. I don’t know the metal pitch or any of those critical dimensions but, want my guess. 80nm. The limit for single patterning with off-axis immersion 193nm lithography. So FD-SOI is getting real. Global Foundries has it. ST pretty much developed it (well I think IBM helped somewhere in there). Samsung licensed it. It’s not just for breakfast any more. It has the best combination of performance, power and cost (PPA, yea I know cost doesn’t start with A but area does). If you are Intel building the world’s fastest single thread microprocessor maybe not the right thing. But you are not Intel. You are building moble applications, IoT, chips with radios, automotive, cost-sensitive consumer products, wearables. FinFET may not be the optimum spot for you. The entire GF presentation is hosted on Semiwiki here (pdf).

Share this post via:

Comments

0 Replies to “GlobalFoundries FD-SOI. Yes, It’s True”

You must register or log in to view/post comments.