WP_Term Object
(
    [term_id] => 44
    [name] => TechInsights
    [slug] => techinsights
    [term_group] => 0
    [term_taxonomy_id] => 44
    [taxonomy] => category
    [description] => 
    [parent] => 386
    [count] => 101
    [filter] => raw
    [cat_ID] => 44
    [category_count] => 101
    [category_description] => 
    [cat_name] => TechInsights
    [category_nicename] => techinsights
    [category_parent] => 386
)
            
image001 (16)
WP_Term Object
(
    [term_id] => 44
    [name] => TechInsights
    [slug] => techinsights
    [term_group] => 0
    [term_taxonomy_id] => 44
    [taxonomy] => category
    [description] => 
    [parent] => 386
    [count] => 101
    [filter] => raw
    [cat_ID] => 44
    [category_count] => 101
    [category_description] => 
    [cat_name] => TechInsights
    [category_nicename] => techinsights
    [category_parent] => 386
)

Extending EUV Lithography

Extending EUV Lithography
by Scotten Jones on 06-12-2015 at 1:00 pm

 I have previously written about SPIE day 1 and 2 so I want to wrap up my coverage with some impressions from days 3 and 4. My single biggest take away from the conference is that EUV has made tremendous progress in the last 12 months. Last year the mood of the conference was in my opinion pessimistic with respect to EUV, this year the mood appeared to me to be much more optimistic.

Day 3

Day 3 included a number of interesting papers on Directed Self Assembly (DSA) and also an update on Electron Beam Lithography (Ebeam).

DSA continues to make progress on the key issue of defectivity and has now reached a point where integration strategies have entered the discussion. Although not discussed in the papers I saw I have heard that DSA has been used to successfully create some layers for DRAM! Having said that the papers I saw still had the feel of research to me as opposed to development.

Ebeam is an interesting technology particularly for small runs because it doesn’t require the fabrication of incredibly expensive recticles. The key issue is how to get the throughput. There is a lot of work being done on multiple beam systems and I have seen proposals for 10 Ebeam system at 10 wafer per hour each to create a cluster that can produce 100 wafers per hour. The system size and cost is such that it could be competitive with an EUV system on cost and area (10 Ebeam systems are the size and cost of 1 EUV system). The development of a suitable system for production continues with spot size reduction and overlay improvements still required.

I want to primarily focus on day 4 and high numerical aperture EUV.

Day 4
The introduction date for EUV keeps pushing out meaning that the required resolution to avoid multiple patterning keeps getting smaller. Last year Sematech presented a paper that was very pessimistic on the prospects for a high numerical aperture EUV system (the resolution of an exposure system is given by the k1 factor multiplied by the exposing wavelength divided by the numerical aperture). Some of the key issues were an increase in the number of mirrors lowering EUV transmission and therefore throughput and the need for larger reticles requiring a retooling of the entire mask supply chain.

This year in the EUV Extension session ASML presented a series of papers addressing this issue.

EUV lithography scanner for sub-8nm resolution
A key issue with high NA systems is that the current 6” reticle size doesn’t work, but if the system is switched to a half or quarter field tool, 6” reticles can still be used. Another design parameter of this system is the use of anamorphic masks where the reduction ratio is 4x in one direction and 8x in the other direction. This requires a rectangle on a mask to create a square on a wafer. These changes make a high NA system possible with NA raised from 0.33 to 0.55, this is equivalent to a 2 node shrink and N5 logic becomes possible.

This type of system does have many challenges:

  • There is a need for better EUV transmission.
  • Laser power needs to increases to the 500 to 1,000 watt range (with getting to 100 watts already a struggle).
  • The wafer stage will have 2x the current acceleration.
  • Better leveling is needed.
  • The optics will be bigger and heavier.
  • There will be more reticle heat load.
  • A better reticle clamp is needed for the higher acceleration.

Just adding today’s motors adds a lot of mass and power but with better cooling the motor size can shrink.

Such a system with a 500 watt laser could achieve 150 wafers per hour at a 60mJ/cm2 dose and an NA >0.5 with current 6” reticles.

EUV lithography optics for sub-9nm resolution
This paper further discussed the optics for the system described in the previous paper.

For a >0.5 NA system 4 mirrors are needed to the mask and 6 mirrors from mask to wafer (this is consistent with current lower NA EUV systems).

For a full field system 132mm x 104mm on a 6” reticle at 4x magnification allows a 26mm x 33mm field. The field is formed by a slit scanning the reticle at 4x the wafer scan speed. The problem is that at NA of 0.45 the incoming and outgoing light cones overlap. By going to 8x in one direction and 4x in the other direction (anamorphic system), the overlap issue can be resolved. The field then becomes a 26mm wide slit scanned 16.5mm and you can image 8nm.

The optical system requires a significant redesign. An anamorphic illuminator is required. Standard EUV optical coatings can’t reflect high angles and you have to drill a hole in the center of the mirror. This improves transmission but creates application dependent transmission losses due to the loss of the first diffraction order in the center. To achieve high NA the optics grow in size and the size of the last mirror determines the maximum NA. It is hard to do but there is no fundamental limit. At NA >0.6 the illumination would likely need to be polarized.

The optics for a higher NA system is currently in design at ASML and Zeiss with the NA expected to be set by the end of the year.

Imaging performance of EUV lithography optics configuration for sub-9nm resolution
This is the final of three papers from ASML discussing the prospects for a high NA EUV system.

This paper describes a series of simulations performed on the proposed optics by ASML/Zeiss and also at Fraunhofer. To summarize the paper quickly, there is good agreement between the simulations done at both locations and although mask biasing will be required for an anamorphic system it appears that isomorphic and anamorphic systems have similar imaging capability. N5 metal looks doable.

Summary of the conference
Current EUV systems are getting closer to production worthy throughput and there appears to be a viable path to higher NA systems. There are a lot of hurdles for EUV to overcome but EUV development has definitely picked up speed and the prospects for EUV are improving. There is still a segment of the lithography community that believes multipatterning is improving so fast that EUV will never catch up and will always be more expensive. How this plays out remains to be seen but at least now EUV is in the fight.

Also read:

SPIE Day 1: Life Without EUV: SPIE Day 2

SPIE Day 2: EUV Makes Progress and Other Observations From SPIE

Share this post via:

Comments

0 Replies to “Extending EUV Lithography”

You must register or log in to view/post comments.