WP_Term Object
(
    [term_id] => 1561
    [name] => ESD Alliance
    [slug] => esd-alliance
    [term_group] => 0
    [term_taxonomy_id] => 1561
    [taxonomy] => category
    [description] => 
    [parent] => 386
    [count] => 115
    [filter] => raw
    [cat_ID] => 1561
    [category_count] => 115
    [category_description] => 
    [cat_name] => ESD Alliance
    [category_nicename] => esd-alliance
    [category_parent] => 386
)
            
SemiWiki Podcast Banner
WP_Term Object
(
    [term_id] => 1561
    [name] => ESD Alliance
    [slug] => esd-alliance
    [term_group] => 0
    [term_taxonomy_id] => 1561
    [taxonomy] => category
    [description] => 
    [parent] => 386
    [count] => 115
    [filter] => raw
    [cat_ID] => 1561
    [category_count] => 115
    [category_description] => 
    [cat_name] => ESD Alliance
    [category_nicename] => esd-alliance
    [category_parent] => 386
)

EUV and DSA from Imec and #semiconwest

EUV and DSA from Imec and #semiconwest
by Paul McLellan on 07-14-2014 at 7:00 am

All the details of how we will build semiconductors going forward depend on whether we have EUV in our arsenal or not. Imec is very close to this since they work closely with ASML (who are about an hour and half’s drive away just outside Eindhoven in the Netherlands). At the imec technology symposium we were given a quick summary of what the current issues are.


So where exactly is EUV? Well, the good news is that the basic technology can indeed print features in experiments. The trouble is that is a long way from being usable in manufacturing. Everyone knows that the biggest problem is the light source. It needs to be much more powerful. Zapping droplets of tin with a high powered laser doesn’t produce a lot of EUV (and that assumes it is aligned: at SPIE Advanced Lithography Conference this year TSMC reported that when they turned on their EUV equipment the laser was misaligned and promptly destroyed the machine).


But there are other problems too:

  • several resists show collapse (the resist washes off) with firm rinsing although something called a dry development rinse process seems to show promise
  • none of the resists produce adequate line width roughness (LWR) with acceptable sensitivity. You can have sensitivity or LWR control but not both. There is now a focus on different materials
  • masks (which, remember, are reflective masks using silicon-molybdenum in 1/4 wavelength layers to generate interference-based reflection) have defects. The defects come from imperfection in the base material before the Mo-Si layers are added. At that point they are too small to see (and very expensive to even look: think of searching for a golf-ball in the state of California) and only become visible once mirror has been made
  • front-side (on the mirror) particle contamination. Any contamination on the mask is in the focal plane and will therefore print (unlike refractive masks that have a pellicle to keep contamination out of the focal plane). Use of a pellicle for EUV is being considered but almost everything absorbs EUV so there are very limited choices for pellicle material, crystalline silicon being the best it seems.
  • backside particle contamination. the mask/mirror is electrostatically clamped to the reticle clamp and contamination on the back can distort the mask.


I also heard from a colleague who does process modeling that he’d been told by a true lithography expert that even if they get EUV to work, then it will probably not be economic. “We are getting so good at multi-patterning that even if EUV works it will still be more expensive.”


The other great white hope of lithography is directed self-assembly (DSA). For this conventional lithography is used to lay down guides at, say, 84nm (which can be single patterned). Then immiscible polymers fill the gaps and they magically line up (if you get the recipe just perfect) at, say 28nm pitch. A similar approach can be used for holes (contacts and vias) whereby a trench can be used to amplify the pitch to get vias on a much smaller spacing.

The basic idea seems to work although there are challenges:

  • placement accuracy, getting the DSA structures such as contacts to be in just the right position
  • DSA modeling: we don’t really have much yet
  • Design for DSA: not all structures can be created by DSA, basically at this point only grids of lines and spaces, and certain contact structures. So not all existing design approaches are DSA ready
  • defect understanding and mitigation: we just don’t have that much experience yet
  • this is “lithography in a bottle”. Purity of the chemicals is paramount. Impurities “print”.


More articles by Paul McLellan…

Share this post via:

Comments

There are no comments yet.

You must register or log in to view/post comments.