800x100 static WP 3 (2)
WP_Term Object
(
    [term_id] => 157
    [name] => EDA
    [slug] => eda
    [term_group] => 0
    [term_taxonomy_id] => 157
    [taxonomy] => category
    [description] => Electronic Design Automation
    [parent] => 0
    [count] => 3899
    [filter] => raw
    [cat_ID] => 157
    [category_count] => 3899
    [category_description] => Electronic Design Automation
    [cat_name] => EDA
    [category_nicename] => eda
    [category_parent] => 0
)

Atrenta Seminars in Asia – Making RTL Signoff Real

Atrenta Seminars in Asia – Making RTL Signoff Real
by Daniel Nenni on 08-18-2013 at 8:10 pm

Engaging with the semiconductor ecosystem is critical to surviving in the fast paced times we work in. Face to face interaction at all levels is key and semiconductor IP is a prime example. How do you ensure that your IP meets objective quality requirements before integration into your SoC, and that your SoC is ready for handoff to the back-end implementation?

RTL Signoff is here. A growing number of design teams rely on Atrenta’s RTL platform to certify their IP choices and ensure their designs are implementation ready. Adding a signoff flow at RTL provides them a competitive edge that can mean the difference between success and failure.


Atrenta’s SpyGlass Predictive Analyzer® significantly improves design efficiency for the world’s leading semiconductor and consumer electronics companies. Patented solutions provide early design insight into the demanding performance, power and area requirements of the complex system on chips (SoCs) fueling today’s consumer electronics revolution. More than two hundred companies and thousands of design engineers worldwide rely on SpyGlass to reduce risk and cost before traditional EDA tools are deployed. And with the addition of BugScope™ verification efficiency is also enhanced, allowing engineers and managers to find the fastest and least expensive path to silicon for complex SoCs.

Join us for a live seminar to learn more about RTL Signoff:[TABLE] cellspacing=”3″ style=”width: 410px”
|-
| style=”width: 50px” | 9:30 AM

| style=”width: 207px” | Arrivals & check-in

|-
| 9:50 AM
| Introduction of speakers
|-
| 10:00 AM
| Introduction to Atrenta, RTL Signoff and IP Kit
|-
| 10:45 AM
| How to get signoff confidence for CDC
|-
| 11:30 AM
| Verification signoff – using assertion synthesis
|-
| 12:15 PM
| Lunch & networking
|-
| 1:30 PM
| RTL power reduction and power signoff
|-
| 2:15 PM
| Timing is everything – getting constraints right
|-
| 3:00 PM
| Break
|-
| 3:15 PM
| How to simplify RTL restructuring
|-
| 4:00 PM
| Achieving quality goals – DFT at RTL
|-
| 4:45 PM
| Lucky draw & event conclusion
|-

Register todayas seating is limited for this FREE event:

[TABLE] style=”width: 400px”
|-
| style=”width: 200px” | Beijing, China
Sep 23, 2013
| width=”200″ |
|-
| style=”white-space: nowrap; vertical-align: top” |
| style=”white-space: nowrap; vertical-align: top” |
|-
| style=”white-space: nowrap; vertical-align: top” | Shanghai, China
Sep 25, 2013
|
|-
| style=”white-space: nowrap; vertical-align: top” |
| style=”white-space: nowrap; vertical-align: top” |
|-
| style=”white-space: nowrap; vertical-align: top” | Hsinchu, Taiwan
Sep 27, 2013
|
|-
|
|
|-
| Seoul, South Korea
Oct 02, 2013
|
|-

Register todayas seating is limited for this FREE event:

lang: en_US

Share this post via:

Comments

There are no comments yet.

You must register or log in to view/post comments.