WP_Term Object
(
    [term_id] => 1012
    [name] => Concept Engineering
    [slug] => concept-engineering
    [term_group] => 0
    [term_taxonomy_id] => 1012
    [taxonomy] => category
    [description] => 
    [parent] => 157
    [count] => 36
    [filter] => raw
    [cat_ID] => 1012
    [category_count] => 36
    [category_description] => 
    [cat_name] => Concept Engineering
    [category_nicename] => concept-engineering
    [category_parent] => 157
)
            
WP_Term Object
(
    [term_id] => 1012
    [name] => Concept Engineering
    [slug] => concept-engineering
    [term_group] => 0
    [term_taxonomy_id] => 1012
    [taxonomy] => category
    [description] => 
    [parent] => 157
    [count] => 36
    [filter] => raw
    [cat_ID] => 1012
    [category_count] => 36
    [category_description] => 
    [cat_name] => Concept Engineering
    [category_nicename] => concept-engineering
    [category_parent] => 157
)

Transistor, Gate and RTL Debug Update at DAC

Transistor, Gate and RTL Debug Update at DAC
by Daniel Payne on 05-29-2013 at 10:53 am

Debugging an IC design at the transistor, Gate and RTL levels is often necessary to meet timing requirements and understand analog or digital behavior, yet the process itself can be a tedious one, filled with manual steps, therefore making it an error-prone process. EDA tools have been created to help us graphically debug transistor, Gate and RTL designs, and one company called Concept Engineeringis appearing at DACagain this year in Austin to showcase many incremental improvements to their debugging tools. To get an overview of Concept Engineering visit the Wiki page.

I first started using SpiceVision PRO more than a decade ago to read in a SPICE netlist, and then traverse it graphically by creating on-the-fly schematics when no schematics were available to me. This graphical view allowed me to quickly understand my SPICE netlist and its simulation behavior.

At DAC next week you’ll get an update on several improvements:

1) Parasitic netlist debugging, new support of SPEF (Standard Parasitic Exchange Format) input format to StarVision PROand SpiceVision PRO tools.

Many extraction tools create the SPEF format, so now you can use that input format for post-layout created netlists.

In the schematic viewer tool above you can see in the top window a very readable auto-generated schematic of an IC netlist, then by just clicking the interconnect between cells you see the RC interconnect appear in the lower window. This is a great time saver from having to stare at a text netlist to understand how the interconnect was extracted.

2) Improved digital debugging in RTLvision PRO (RTL debug), GateVision PRO(Gate debug) and StarVision PRO (mixed-signal debug) by:

  • Improved waveform viewing
  • Improved automatic path extraction (points A to B)
  • Improved clock tree visualization and clock domain crossing visualization.


​Clock Tree Extraction

3) Visualization engine improvements in EDA widgets (used by other EDA companies) called Nlviewand T-Engine.

  • Improved support for system-level visualization
  • New support for stacked or arrayed components
  • New logic cloud component that can include or hide logic elements and is represented by a cloud symbol


Nlview


T-engine

To see these improvements at DAC visit Concept Engineering in booth #1842, and ask for Gerhard Angst.

lang: en_US

Share this post via:

Comments

There are no comments yet.

You must register or log in to view/post comments.