WP_Term Object
(
    [term_id] => 34
    [name] => Ansys, Inc.
    [slug] => ansys-inc
    [term_group] => 0
    [term_taxonomy_id] => 34
    [taxonomy] => category
    [description] => 
    [parent] => 157
    [count] => 256
    [filter] => raw
    [cat_ID] => 34
    [category_count] => 256
    [category_description] => 
    [cat_name] => Ansys, Inc.
    [category_nicename] => ansys-inc
    [category_parent] => 157
)
            
ansys sim world 2024 800X100 reg a (1)
WP_Term Object
(
    [term_id] => 34
    [name] => Ansys, Inc.
    [slug] => ansys-inc
    [term_group] => 0
    [term_taxonomy_id] => 34
    [taxonomy] => category
    [description] => 
    [parent] => 157
    [count] => 256
    [filter] => raw
    [cat_ID] => 34
    [category_count] => 256
    [category_description] => 
    [cat_name] => Ansys, Inc.
    [category_nicename] => ansys-inc
    [category_parent] => 157
)

Customers Talk About Reliability, Low-Power and 3D

Customers Talk About Reliability, Low-Power and 3D
by Paul McLellan on 05-09-2012 at 1:13 pm

 At DAC in San Francisco this year, Apache once again have a mixture of presentations by customers on their use of Apache tools and presentations by Apache themselves on their products. Most of the customer presentations are given just once, but the product presentations are given multiple times over the three days.

I think one of the hot topics at DAC this year will be 3D-ICs (TSVs etc). One on of the first places that 3D chips are being used is building stacks of memory die, so the Samsung experience looks especially interesting.

Here is a list of all the customer presentations. The product presentations are interspersed between the customer presentations.

  • Samsung-DRAM: System-level Power Noise Analysis and Optimization with Measurement Correlation Results for Multiple DRAMs with TSV
  • UC San Diego: Considerations for Designing and Simulating Memory Interfaces for 3D/Stacked-die Designs
  • Renasas: Enabling Accurate and Efficient RTL Power Analysis and Optimization Methodology for Low Power Designs
  • LSI: Power Noise and Other Simulation Considerations for Energy-efficient SoCs
  • Samsung-SSI: A Chip-Package Simulation Methodology for Ultra-Large Low-Power Mobile ICs
  • Ciena: Power Noise Analysis with Silicon Correlation Results for Complex 32nm ASIC Designs
  • NXP: Full-chip Substrate Noise Coupling Analysis and Noise Isolation Structure Design Experiments
  • Aptina: Evaluating Design Options and Trade-offs through Full-chip Substrate and Metal Layer Noise Analysis for a Commercial Image Sensor Chip
  • AMD: Electrostatic Discharge (ESD) Simulation and Sign-off Considerations for Complex GPU and APU Designs
  • nVidia: A Dynamic Simulation Methodology for Diagnosis and Predictive Simulation of HBM/CDM Events
  • ST-Ericsson: Simultaneous SI and PI Analysis for High-speed IO Designs for Mobile Applications

Full details, including the presentations times and a short summary of each presentation are here. If you are interested, then you can register to attend on the same page.

One other event is at the pavilion panel (booth 310) at 10.30 on Monday where Aveek Sarkar of Apache is one of the panelists on a panel called Power to the People, along with Clive Bittlestone of TI and Robert Patti of Terrazon Semiconductor.

Share this post via:

Comments

There are no comments yet.

You must register or log in to view/post comments.